Re: lab3 - components...

Shereen Pang (shereen@interchange.ubc.ca) Sun, 05 Nov 2000 09:39:58 -1200


Date: Sun, 05 Nov 2000 09:39:58 -1200
From: Shereen Pang <shereen@interchange.ubc.ca>
Subject: Re: lab3 - components...

Hi: I think it might help if you specify a default value. mine works this way. for example, generic ( x: unsigned:= 1; y: integer: = 2); repeat this for the package file, the fefifofum entity and the main entity that calls it. hope this helps. Shereen