lab3 - components...

David Moss (davidmoss@home.com) Sun, 5 Nov 2000 00:27:48 -0800


From: "David Moss" <davidmoss@home.com>
Subject: lab3 - components...
Date: Sun, 5 Nov 2000 00:27:48 -0800

Hello all, I'm trying to get my lab 3 package and component declaration to work in order to dreate my clock divider but when I try to compile the components entity description, I get the following error: Unsupported feature error: generic not specified and has no default value. My component declaration looks like this: library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_arith.all; package timeraccess is component fefifofum generic ( x : unsigned; y : integer); port ( w : in bit; z : out bit); end component; end timeraccess; and compiles fine, and my entity description looks like this: library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_arith.all; use work.timeraccess.all; entity fefifofum is generic ( x : unsigned; y : integer); <------ port ( w : in bit; z : out bit); end fefifofum; architecture... and the error corresponds to the line with the arrow beside it. Is this format correct and if so, why else could it not be working? TIA! David Moss