Re: lab3 - components...

Ed Casas (edc@ece.ubc.ca) Sun, 5 Nov 2000 10:01:32 -0800


Date: Sun, 5 Nov 2000 10:01:32 -0800
From: Ed Casas <edc@ece.ubc.ca>
Subject: Re: lab3 - components...

> I'm trying to get my lab 3 package and component declaration to > work in order to dreate my clock divider but when I try to > compile the components entity description, I get the following > error: > > Unsupported feature error: generic not specified and has no > default value. If you specify an entity with a generic you can't compile it by itself because the generics (x and y in your example) don't have values until the entity is instantiated as a component. This means you can only use an entity-with-generics as a component. When you declare a component MaxPlusII looks for the architecture in a file with the corresponding name (fefifofum.vhd). You don't have to "compile" the component beforehand (you can't, really, since the values of the generics are unknown). If you want to test the entity by itself you can replace the generics (x and y) with constants or specify default values (not covered in the lectures). -- Ed Casas edc@ece.ubc.ca http://casas.ece.ubc.ca +1 604 822-2592