Assignment #3

Amy Zheng (azheng@unixg.ubc.ca) Fri, 10 Nov 2000 00:03:50 -0800


Date: Fri, 10 Nov 2000 00:03:50 -0800
From: Amy Zheng <azheng@unixg.ubc.ca>
Subject: Assignment #3

Hello: When compiling the top-level entity file, there are error messages on the line: signal a_in, a_out: std_logic_vector(data_word); While "data_word" is of type unsigned, as declared in the datatype package. The error messages are: "Subtype indication error: subtype indication base type 'UNSIGNED' must match constraining base type 'INTEGER'" and "Type error: range must be of a discrete type". What doese these messages mean? I have never use integer type in my codes. Thanks for all the help. Amy,