Lab 3 Generics

Greg Frey (gregf@unixg.ubc.ca) Mon, 6 Nov 2000 22:49:04 -0800


From: "Greg Frey" <gregf@unixg.ubc.ca>
Subject: Lab 3 Generics
Date: Mon, 6 Nov 2000 22:49:04 -0800


I've read all of the help that's been given already on this topic, but my code still won't work. I'm trying to compile my timer where i have instantiated my clock divider component. I have used a generic map in my declaration of my component and I still get a "Unsupported feature error : generic is not supported" and it points me to the line in my clock divider entity statement where i used generic. Can anyone please help? Do I have to put a "generic" line in both my component declaration and in the component entity? Thanks ------------------------------------------------------ Greg Frey gregf@unixg.ubc.ca