Assignment 3 - packages

Amy Liu (ami_chan7@hotmail.com) Fri, 03 Nov 2000 09:26:06 PST


From: "Amy Liu" <ami_chan7@hotmail.com>
Subject: Assignment 3 - packages
Date: Fri, 03 Nov 2000 09:26:06 PST

When a package is saved as a VHDL and the code compiled, Max Plus II is supposed to create a .pkg file right? But why isn't it doing that? Please help. Thanks. _________________________________________________________________________ Get Your Private, Free E-mail from MSN Hotmail at http://www.hotmail.com. Share information about yourself, create your own public profile at http://profiles.msn.com.