Re: lab3 - library problem

Marc Lee (marclwn@home.com) Sun, 29 Oct 2000 22:34:28 -0800


From: "Marc Lee" <marclwn@home.com>
Subject: Re: lab3 - library problem
Date: Sun, 29 Oct 2000 22:34:28 -0800


You have to include "library ieee;" at the beginning of the program ----- Original Message ----- From: Ivan Sun To: eece379@fs3.ece.ubc.ca Sent: Sunday, October 29, 2000 10:00 PM Subject: lab3 - library problem Hi Does anyone know why the compiler says "Identifier error: identifier "ieee" has not been declared? I put "use ieee.std_logic_1164.all ;" and "use ieee.std_logic_arith.all ;" as my first two lines of program. Thanks, Ivan