lab3 - library problem

Ivan Sun (hisun@ece.ubc.ca) Sun, 29 Oct 2000 22:00:34 -0800


From: "Ivan Sun" <hisun@ece.ubc.ca>
Subject: lab3 - library problem
Date: Sun, 29 Oct 2000 22:00:34 -0800


Hi Does anyone know why the compiler says "Identifier error: identifier "ieee" has not been declared? I put "use ieee.std_logic_1164.all ;" and "use ieee.std_logic_arith.all ;" as my first two lines of program. Thanks, Ivan