Fw: Lab 3 Generics

David Moss (davidmoss@home.com) Mon, 6 Nov 2000 20:35:19 -0800


From: "David Moss" <davidmoss@home.com>
Subject: Fw: Lab 3 Generics
Date: Mon, 6 Nov 2000 20:35:19 -0800


Hey Greg, I struggled with the generic thing too. You have to put the generic statement in 3 places: 1. In the timer package/component declaration 2. In the timer component's entity description 3. when you call call on the timer component You can't compile the entity description by itself because the generic values haven't been assigned yet. You must call the timer component with values set in your main entity architecture description like this: instancename : componentname generic map ( #(of correct type), #(of correct type) ) port map ( signal1name, signal2name); When you compile your main vhd file, the component's code will be compiled as well. Good luck! David Moss