Re: LAB 2 -VHDL

Ed Casas (edc@ece.ubc.ca) Sun, 22 Oct 2000 09:41:56 -0700


Date: Sun, 22 Oct 2000 09:41:56 -0700
From: Ed Casas <edc@ece.ubc.ca>
Subject: Re: LAB 2 -VHDL

> My waveforms for the 7 segments of the led display are all > inverted! They don't correspond to the values in my code. If by `inverted' you mean that 0's come out as 1's and vice-versa, then it might be that the *_vector constants in your code are written so that '1' corresponds to turning on a particular LED segment. Look carefully at the code you wrote. Does it include something that inverts (using `not') the values that are output? The constants may originally have been written this way to make them easier to read or it may have been written this way before you(?) realized that you need to output a '0' to turn on a segment. If by `inverted' you mean that the bits appear in the wrong order, make sure you've declared all of the arrays with "downto" index order so that the constants read the right way 'round (MS to LS as you read from left to right). -- Ed Casas edc@ece.ubc.ca http://casas.ece.ubc.ca +1 604 822-2592